The Bunker Cache for Spatio-Value Approximation

Publication image

The cost of moving and storing data is still a fun- damental concern for computer architects. Inefficient handling of data can be attributed to conventional architectures being oblivious to the nature of the values that these data bits carry. We observe the phenomenon of spatio-value similarity, where data elements that are approximately similar in value exhibit spatial regularity in memory. This is inherent to 1) the data values of real-world applications, and 2) the way we store data structures in memory. We propose the Bunker Cache, a design that maps similar data to the same cache storage location based solely on their memory address, sacrificing some application quality loss for greater efficiency. The Bunker Cache enables performance gains (ranging from 1.08× to 1.19×) via reduced cache misses and energy savings (ranging from 1.18× to 1.39×) via reduced off- chip memory accesses and lower cache storage requirements. The Bunker Cache requires only modest changes to cache indexing hardware, integrating easily into commodity systems.

Authors

Joshua San Miguel (University of Toronto)
Jorge Albericio (University of Toronto)
Natalie Enright Jerger (University of Toronto)

Publication Date

Research Area

Uploaded Files