RouteNet: Routability Prediction for Mixed-size Designs using Convolutional Neural Network

Early routability prediction helps designers and tools perform preventive measures so that design rule violations can be avoided in a proactive manner. However, it is a huge challenge to have a predictor that is both accurate and fast. In this work, we study how to leverage convolutional neural network to address this challenge. The proposed method, called RouteNet, can either evaluate the overall routability of cell placement solutions without global routing or predict the locations of DRC (Design Rule Checking) hotspots. In both cases, large macros in mixed-size designs are taken into consideration. Experiments on benchmark circuits show that RouteNet can forecast overall routability with accuracy similar to that of global router while using substantially less runtime. For DRC hotspot prediction, RouteNet improves accuracy by 50% compared to global routing. It also significantly outperforms other machine learning approaches such as support vector machine and logistic regression.

Authors

Zhiyao Xie (Duke University)
Yu-Hung Huang (National Taiwan University of Science and Technology)
Guan-Qi Fang (National Taiwan University of Science and Technology)
Shao-Yun Fang (National Taiwan University of Science and Technology)
Yiran Chen (Duke University)
Hu Jiang (Texas A&M University)

Publication Date

Uploaded Files